资源列表

[其他小程序song

说明:自动乐曲仿钢琴的演奏 用Verilog语言是实现-Automatic music imitation piano playing Verilog language
<于梦磊> 在 2024-09-28 上传 | 大小:189440 | 下载:1

[其他小程序getword--VB

说明:这是个简单的屏幕取词程序,用VB编写,是初学取词的好实例-This is a simple capturing program written in VB is a good instance of beginner word
<张敬辉> 在 2024-09-28 上传 | 大小:52224 | 下载:1

[其他小程序zitai

说明:空间飞行器三轴稳定姿态动力学仿真,三轴稳定姿态动力学仿真-Stable attitude dynamics simulation of the space vehicle three weeks
<zxf> 在 2024-09-28 上传 | 大小:12288 | 下载:1

[其他小程序sinusoidal-model

说明:对语音信号进行分析仿真,合成声音轻微的音质下降-The speech signal analysis and simulation, a slight loss of quality of the synthesized voice
<ty> 在 2024-09-28 上传 | 大小:3072 | 下载:1

[其他小程序AD7324

说明:AD7324使用效果很好,可以一直到不同的开发板上,适合初学者-AD7324 use a good effect can be to the development board, suitable for beginners
<> 在 2024-09-28 上传 | 大小:138240 | 下载:1

[其他小程序GA_BP

说明:对遗传算法的参数进行设定,优化BP神经网络的参数,最后能加以应用。-Set the parameters of the genetic algorithm to optimize the parameters of the BP neural network, and the last to be applied.
<心在等待> 在 2024-09-28 上传 | 大小:57344 | 下载:1

[其他小程序SDCS

说明:用matlab实现的改进的布谷鸟算法——SDCS,它是将最速下降法与CS相结合的-Matlab implementation of the improved algorithm of the cuckoo- SDCS , it will steepest descent method with CS
<丁默> 在 2024-09-28 上传 | 大小:2048 | 下载:1

[其他小程序SViGX_C22D663

说明:通用变频器控制板源代码,有需要的可以下-General purpose inverter control panel source code
<szlihs> 在 2024-09-28 上传 | 大小:996352 | 下载:1

[其他小程序3

说明:易语言导出EXCEL表格类模块源码 ,很不错的易语言源码,适合易语言爱好者学习。-Easy language to export EXCEL form class module source code, very good source of easy language for easy language enthusiasts to learn.
<> 在 2024-09-28 上传 | 大小:45056 | 下载:1

[其他小程序ftp-auto

说明:FTP全自动挂黑链,内有大量常用用户名和弱口令,欢迎大家下载-FTP fully automatic hang a black chain
<王海> 在 2024-09-28 上传 | 大小:634880 | 下载:1

[其他小程序uhf

说明:UHF-RFID标签数字基带verilog代码-UHF-RFID tag baseband verilog code
<奔小康> 在 2024-09-28 上传 | 大小:24576 | 下载:1

[其他小程序Minist

说明:用于对多数据操作的公共类,方便进行多数据库开发使用。-Public class for the operation of multiple data, and facilitate database development use.
<宗溯软件> 在 2024-09-28 上传 | 大小:558080 | 下载:1

源码中国 www.ymcn.org