资源列表

« 1 2 ... .55 .56 .57 .58 .59 1060.61 .62 .63 .64 .65 ... 21480 »

[其他小程序signalmaster-master

说明:webrtc signal master 模拟运行stun访问
<丁锋> 在 2024-10-15 上传 | 大小:5120 | 下载:0

[其他小程序SkyRTC-client

说明:webrtc client source code, based on jsp, need install node.js
<丁锋> 在 2024-10-15 上传 | 大小:5120 | 下载:0

[其他小程序Guidance_Missile

说明:六自由度弹道仿真,采用定步长龙格库塔法,考虑控制系统和舵偏。-Six degrees of freedom trajectory simulation, using fixed step Changlong Kutta method, consider the control system and rudder bias.
<c> 在 2024-10-15 上传 | 大小:742400 | 下载:0

[其他小程序04_OVM_mechanics

说明:OVM即开放验证方法(机制篇) 基于system verilog语言-OVM (Open Verification Mechanism) source code. System verilog language
<Xihu> 在 2024-10-15 上传 | 大小:24576 | 下载:0

[其他小程序leveling-adjustment

说明:水准网平差,利用间接平差方法对水准外业观测数据进行平差计算。-leveling adjustment
<yu> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[其他小程序dsp

说明:数字信号处理系统设计与实践上课的课件,需要自取-Digital signal processing system design and practice of courseware in class
<范雯敬> 在 2024-10-15 上传 | 大小:24936448 | 下载:0

[其他小程序seeds

说明:Seeded region growing with opencv
<starmasti> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[其他小程序WINDS-web

说明:Launch Web Site with your own exe file And you can make it full-screen And You can change the icon Have Fun -Launch Web Site with your own exe file And you can make it full-screen And You can change the icon
<Steve> 在 2024-10-15 上传 | 大小:1736704 | 下载:0

[其他小程序Heterogeneous

说明:C++实现异质链表,实现链表存储不同类型变量。-Heterogeneous list
<luna> 在 2024-10-15 上传 | 大小:396288 | 下载:0

[其他小程序Homogeneous-lists

说明:C++实现同质链表,初学者C++小程序。-Homogeneous lists
<luna> 在 2024-10-15 上传 | 大小:462848 | 下载:0

[其他小程序qmn16_format

说明:q format 是一种浮点数压缩算法,qmn32可以将数据压为double 的一半 http://en.wikipedia.org/wiki/Q_(number_format)-Q format is a kind of floating point compression algorithm, qmn32 data can be pressed half double Http://en.wikipedia.org/wiki
<yao> 在 2024-10-15 上传 | 大小:1024 | 下载:0

[其他小程序countleaves

说明:C++实现族谱树构建且实现查找没有孩子的节点数量。-A family hierarchy is usually presented by a pedigree tree. Your job is to count those family members who have no child.
<luna> 在 2024-10-15 上传 | 大小:416768 | 下载:0
« 1 2 ... .55 .56 .57 .58 .59 1060.61 .62 .63 .64 .65 ... 21480 »

源码中国 www.ymcn.org