资源列表

« 1 2 ... .47 .48 .49 .50 .51 21352.53 .54 .55 .56 .57 ... 21480 »

[其他小程序dlq7yuanma

说明:魔域最新登录器网关源码 支持注册 可自己生成登录器 完美防外挂-Your new login gateway source code to support registered can generate their own login perfect anti plug
<嘿嘿> 在 2024-09-27 上传 | 大小:349184 | 下载:2

[其他小程序las-TO-lis

说明:用于地球物理测井数据格式转换,将las格式文件转换成lis文件,供专业软件录取资料-This software can translate an welllog file with an appendix "las" to one with an appendix "lis".
<冯文杰> 在 2024-09-27 上传 | 大小:3273728 | 下载:2

[其他小程序n-queen

说明:实现著名的n皇后问题,vs2008开发环境-Realization of the famous n queens problem, VS2008 development environment
<> 在 2024-09-27 上传 | 大小:510976 | 下载:2

[其他小程序virtuoso_skill_code

说明:用于 cadence virtuoso的skill代码 CCStoggleLayerSel.il 选择LSW对应层上的所有图形 coord.il 得到选择obj的坐标并输出 dataBrowser.il 显示cellview的所有数据 doublesel.il 选择与当前选择的obj相同的其它obj objinfo.il 在virtuoso界面显示鼠标点击选择obj的信息,如W L,面积,Layer,网线名称
<stokley> 在 2024-09-27 上传 | 大小:19456 | 下载:2

[其他小程序udf-k-e-turbulence-model

说明:湍流模型的udf编译文件 写的是fluent中默认的k-e模型的文件,可以以此为基础进行来更改-This is a udf flie about turbulence model which is in the fluent software, and you can edit it in your own method.
<zhangguangjian> 在 2024-09-27 上传 | 大小:6144 | 下载:2

[其他小程序jiudian

说明:一个功能比较全的酒店类信息网站,美工做的不错,希望大家能够喜欢-A function of the whole hotel class information site, artists doing good, hope you will enjoy
<donald> 在 2024-09-27 上传 | 大小:3425280 | 下载:2

[其他小程序myauto

说明:vc6+vs2005环境,股票自动交易软件。目前支持通达信版本交易,凡交易平台使用通达信的券商都可使用。实现为使用窗口句柄抓取交易界面窗口完成。使用时需要先打开交易软件,否则无法找到。-vc6+vs2005 environment, stock automated trading software. Currently supports accessible letter version of the transaction, wher
<成钻> 在 2024-09-27 上传 | 大小:4490240 | 下载:2

[其他小程序ghOst-Remote-1.0

说明:ghOst远控1.0源码,相比于3.6更稳定,视频超快。-ghOst Remote Control 1.0 source code, compared to 3.6 more stable, ultra-fast video.
<李丽> 在 2024-09-27 上传 | 大小:364544 | 下载:2

[其他小程序eTerm443

说明:中航信ETERM放大器,C++ 支持350,443端口,分享一下,一起学习-CNAC letter ETERM amplifiers, C++ 350,443-port, share, learn together
<周三> 在 2024-09-27 上传 | 大小:1856512 | 下载:2

[其他小程序CameraControl

说明:使用ED-SDK v2.10开发的控制Canon相机的一个小程序,基本上实现了绝大部分的对相机的控制操作,对相机编程的人很有帮助!-ED-SDK v2.10 developed a small program to control Canon cameras, basically most of the control operation of the camera, the camera programming helpful!
<tovey> 在 2024-09-27 上传 | 大小:77824 | 下载:2

[其他小程序denken-chang

说明:邓肯张模型的源代码,用于ABAQUS等程序-Duncan Chang model of the source code for ABAQUS and other procedures
<feng> 在 2024-09-27 上传 | 大小:1024 | 下载:2

[其他小程序Airy1DMaskconvertion

说明:Airy光在福利叶空间下的强度和相位掩模版实现,只提供主函数-mask for Airy beam/function in Fourier space,main function
<yezhuoyi> 在 2024-09-27 上传 | 大小:1024 | 下载:2
« 1 2 ... .47 .48 .49 .50 .51 21352.53 .54 .55 .56 .57 ... 21480 »

源码中国 www.ymcn.org