资源列表

« 1 2 ... .13 .14 .15 .16 .17 918.19 .20 .21 .22 .23 ... 1760 »

[其它MailSlot

说明:用于局域网的内部通信,即时消息。下载就可以使用。欢迎下载。
<yanyan> 在 2008-10-13 上传 | 大小:220886 | 下载:0

[其它cifa

说明:词法分析工作过程中建立符号表、常量表。 并以文本文件形式输出(按字典顺序输出)。词法分析的最后结果以文本文件形式输出。
<lvjuan> 在 2008-10-13 上传 | 大小:2223 | 下载:0

[其它10401

说明:上传定义组件 上传定义组件
<sunbin> 在 2008-10-13 上传 | 大小:152351 | 下载:0

[其它objinsp

说明:Object Inspector for Delphi (3-7,2005) and C++ Builder (5,6)
<hfydiy> 在 2008-10-13 上传 | 大小:864581 | 下载:0

[其它workflowform

说明:工作流表单模版 包含9个类别73个表单,基本含盖了所有的办公需要的表单。
<joan> 在 2008-10-13 上传 | 大小:132720 | 下载:0

[其它DSPziliao

说明:DSP软件结构,适合DSP初学者,对以后的应用有很大的帮助
<朱良> 在 2008-10-13 上传 | 大小:1177493 | 下载:0

[其它DWG-file-format

说明:AUTOCAD DWG文件格式,对于读写DWG文件有一定的作用。
<zzz> 在 2008-10-13 上传 | 大小:8351 | 下载:0

[其它1

说明:听觉反应时测量 presentation应用
<zhanghei> 在 2008-10-13 上传 | 大小:1202 | 下载:0

[其它F8F9

说明:autoit 编写的文本摘取器,选中一段文字,按ctrl+F8创建以这段文字命名的目录 按F8创建文字命名的txt文件 按F9复制选中文字到txt.适用于大部分阅读环境
<aljf0> 在 2008-10-13 上传 | 大小:208348 | 下载:0

[其它chaosheng

说明:超生传感器相关资料 很有价值
<刘怀泉> 在 2008-10-13 上传 | 大小:2001 | 下载:0

[其它web

说明:中国目前把国外的好多网站都封了,我们看这些网站得通过代理,有了这个软件就不必这么麻烦的了
<li shi > 在 2008-10-13 上传 | 大小:85118 | 下载:0

[其它comport

说明:该程序是不占用资源的串口数据捕捉.同一台计算机中,再有其他应用程序或设备同时占用一个或多个串口的情况下,捕捉这些串口的通信数据
<LWZ> 在 2008-10-13 上传 | 大小:3307 | 下载:0
« 1 2 ... .13 .14 .15 .16 .17 918.19 .20 .21 .22 .23 ... 1760 »

源码中国 www.ymcn.org