资源列表

[其他小程序reclysub

说明:在VASP计算中可以提交多任务的脚本文件(Multitasking scr ipt files can be submitted in VASP calculation)
<BrainLee-X> 在 2024-10-09 上传 | 大小:82944 | 下载:0

[其他小程序RENKO SCALPER PRO V3.9_fix

说明:RENKO SCALPER PRO V3.9
<roboneon> 在 2024-10-09 上传 | 大小:57344 | 下载:0

[其他小程序ExtractSkeleton

说明:从滞回曲线中提取骨架曲线,程序使用matlab,生成数据导入表格绘图(extract skeleton curve)
<kindlebalada123> 在 2024-10-09 上传 | 大小:1024 | 下载:0

[其他小程序心电信号预处理

说明:基于matlab的心电信号的预处理,对心电信号做去噪平滑处理(Preprocessing of ECG signals based on matlab, denoising and smoothing ECG signals)
<柚子@> 在 2024-10-09 上传 | 大小:133120 | 下载:0

[其他小程序CRC校验码的生成及码块分割

说明:实现CRC校验码的生成以及码块分割(依据协议3GPP 36.212)(Realize CRC check code generation and code block division (according to protocol 3GPP 36.212))
<起个真难> 在 2024-10-09 上传 | 大小:37888 | 下载:0

[其他小程序57898

说明:标准化数据,可以用来进行Bland-Altman and correlation 以及画图(This customizable data analysis tools generates a Bland-Altman and correlation scatter plot. Data can be displayed using color and shape coding of groups using a 2D or 3D mat
<杨航的小秘书> 在 2020-04-30 上传 | 大小:9216 | 下载:0

[其他小程序niyiweipenguan

说明:matlab软件用maccormack方法编写拟一维喷管的程序(Matlab software uses MacCormack method to program quasi one dimensional nozzle)
<孤单单但> 在 2024-10-09 上传 | 大小:1024 | 下载:0

[其他小程序lombok-plugin-0.28-2019.3

说明:lombok 插件(lombok plugin)
<xiyiwe> 在 2024-10-09 上传 | 大小:541696 | 下载:1

[其他小程序动态面+SEA+两杆 +自适应+一阶变阻抗

说明:串联弹性执行器 动态面 阻抗控制(Dynamic surface impedance control of series elastic actuator)
<南风未起> 在 2024-10-09 上传 | 大小:34816 | 下载:0

[Windows编程valve project creator

说明:valve project creator from csgo
<wyogrzpargpbhwgwvz> 在 2020-05-01 上传 | 大小:426990 | 下载:0

[其他小程序雷达信号理论的MATLAB(林茂庸著)

说明:该压缩包为雷达信号基础得matlab仿真程序(Matlab simulation program of radar signal based on this compression package)
<Hide_0n_bush> 在 2024-10-09 上传 | 大小:24576 | 下载:1

[其他小程序cure_20

说明:在SEIR传播模型上,设置相应参数,通过调节参数,可观察治愈患者,死亡人数等的变化趋势(In Seir propagation model, set the corresponding parameters, by adjusting the parameters, we can observe the change trend of the cured patients, the number of deaths, etc)
<mili1111> 在 2024-10-09 上传 | 大小:66560 | 下载:0

源码中国 www.ymcn.org