资源列表

[组合框控件1602PDHT11

说明:基于51单片机控制DHT11温湿度在1602上显示的C语言程序-Based on 51 single-chip control DHT11 temperature and humidity in the display on the 1602 C Programming Language
<陆浩> 在 2024-10-14 上传 | 大小:4515840 | 下载:1

[C#编程NHibernatecode

说明:nhibernate 的帮助文档、实例、源码test以及nhibernate源码
<chesn> 在 2024-10-14 上传 | 大小:15672320 | 下载:1

[其他小程序Finite_element_tri

说明:用有限元法求解电磁场泊松方程 包括场方程和边界条件-Using the finite element method for solving the Poisson equation of electromagnetic fields, including the field equations and boundary conditions
<张茗川> 在 2024-10-14 上传 | 大小:2048 | 下载:1

[其他小程序VB_VBAHelp

说明:VB_VBA帮助系统是一个集了VB自带API浏览器、VBA帮助文件、VBAPI文档(红雨版)的便捷小程序,隐藏界面,托盘菜单。可方便地启动VB6。帮助文件档特别适合初学者,还有大量实例供参考。源码包含成型的托盘模块NotifyIcon,并且解决了托盘菜单不消失的Bug,还包含一个简单的注册表读写模块。打开工程后编译即可使用。-VBA Help system is a set of VB comes API browser OFF sys
<Ghong> 在 2024-10-14 上传 | 大小:21197824 | 下载:1

[其他小程序H

说明:使用卷积法再现实验记录全息图,效果很好,欢迎下载-Convolution method for reproducing holograms
<刘迪> 在 2024-10-14 上传 | 大小:1024 | 下载:1

[Windows编程sequence-alignment

说明:基于动态规划算法的蛋白质序列比对 Needleman-Wunsch Smith-Waterman-protein sequence alignment Needleman-Wunsch Smith-Waterman
<夏红> 在 2024-10-14 上传 | 大小:256000 | 下载:1

[其他小程序OverDestiny_Release_754_11

说明:wyd 命运2 754 服务端 源代码 1>------ 已启动生成: 项目: Itemlist_Convert, 配置: Release Win32 ------ 2>------ 已启动生成: 项目: Client_Wrapper, 配置: Release Win32 ------ 3>------ 已启动生成: 项目: SkillData_Convert, 配置: Release Win32 ---
<cel> 在 2024-10-14 上传 | 大小:158720 | 下载:1

[其他小程序非负矩阵分解

说明:实现高光谱图像的非负矩阵分解,可以在此基础上添加优化算法,实现更高精度和速度。(To achieve hyperspectral image's non negative matrix factorization, we can add optimization algorithm to achieve higher accuracy and speed.)
<月妃123> 在 2024-10-14 上传 | 大小:48128 | 下载:1

[其他小程序PLC300-400解密程序

说明:西门子PLC step7-300、400的解密程序(The decryption procedure of Siemens PLC step7-300 and 400)
<richardjim> 在 2024-10-14 上传 | 大小:385024 | 下载:1

[其他小程序永磁同步风机仿真 pscad

说明:利用电磁暂态仿真软件pscad搭建的永磁同步风机仿真。(The simulation of permanent magnet synchronous fan is built by electromagnetic transient simulation software PSCAD.)
<飞奔的Ant> 在 2024-10-14 上传 | 大小:2097152 | 下载:1

[其他小程序Quadrotor

说明:几篇有关小型四旋翼飞行器滑模控制的文章和复现(Several articles and reappearance of sliding mode control for small four rotor aircraft are presented.)
<背包> 在 2024-10-14 上传 | 大小:10705920 | 下载:1

[其他小程序MMC

说明:利用pscad搭建的多电平直流输电模型,仅供参考。(The multilevel HVDC transmission model built by PSCAD is for reference only.)
<飞奔的Ant> 在 2024-10-14 上传 | 大小:500736 | 下载:1

源码中国 www.ymcn.org