资源列表

« 1 2 ... .33 .34 .35 .36 .37 216338.39 .40 .41 .42 .43 ... 216416 »

[android开发MobileNewspaper

说明:移动新闻app,包含列表,读取,评论等。- Mobile news app, including list, read, comments and so on.
<henryguo> 在 2024-09-28 上传 | 大小:75171840 | 下载:0

[其他小程序Global_Mapper

说明:UFk做图寻找突变点时,2条曲线应具有同样的时间轴,因此(and in situ observations after removi)
<liuhj > 在 2024-09-28 上传 | 大小:75059200 | 下载:0

[其他小程序FireDetection_src

说明:参照他的颜色模型做了一个火焰检测的小程序,以此记录并与大家分享(In reference to his color model, a small program of flame detection is recorded and shared)
<武松打老虎 > 在 2024-09-28 上传 | 大小:75085824 | 下载:0

[GIS编程ArcGIS Engine1

说明:ArcGIS Engine 地理信息系统开发教程1---基于C#.NET(由于上传容量限制,分为几次上传)(ArcGIS Engine geographic information system development tutorial 1--- Based on C#.NET)
<菜鸟胡 > 在 2024-09-28 上传 | 大小:75246592 | 下载:0

[其他小程序电子工程师必备的实用工具箱

说明:电子工程师必备的实用工具箱(A practical tool kit for Electronic Engineers)
<冷雨飘红 > 在 2024-09-28 上传 | 大小:75058176 | 下载:0

[其他小程序MQTT 服务器和客户端工具及使用说明

说明:MQTT调试 服务器 客户端 已经完整的调试说明,搭建流程(The MQTT debug server client has completed the debugging instructions and builds the process)
<Silence_207 > 在 2024-09-28 上传 | 大小:75149312 | 下载:0

[单片机(51,AVR,MSP430等)snake

说明:有源代码,仿真及仿真软件,还有keil工程(Active code, simulation and simulation software, and keil Engineering)
<灰飞湮灭> 在 2024-09-28 上传 | 大小:75336704 | 下载:0

[通讯/手机编程HackRF资料A

说明:资料为无线通信的模块资料,此模块是无线爱好者学习的参考资料,通过HackRF模块的操作,实现对无线通信更多的了解。资料内容比较多,适合喜爱HackRF模块的朋友下载资料,并学习其中的知识。(Data for wireless communication module data, this module is a reference material for wireless enthusiasts to learn, through t
<fansty512> 在 2024-09-28 上传 | 大小:75064320 | 下载:1

[其他小程序2.datcom文献

说明:基于datcom气动参数计算,很有用,多数文章都有详细教程(The calculation based on Datcom aerodynamic parameters is very useful and most of the articles have detailed tutorials.)
<wanglu888> 在 2024-09-28 上传 | 大小:75341824 | 下载:2

[android开发Ascopy

说明:利用百度地图官方SDK实现定位、以及定位样式的切换(Baidu map official SDK is used to locate and locate the styles.)
<GitAndroid> 在 2024-09-28 上传 | 大小:75233280 | 下载:0

[其他小程序点云库PCL学习教程(pdf版本)

说明:详细介绍了PCL开发库,是一个入手的好资料(Introduced the PCLS development library, is one of the good information)
<zhuda伟> 在 2024-09-28 上传 | 大小:75224064 | 下载:0

[matlab例程锁相环技术及其FPGA实现

说明:从www.hxedu.com.cn花费5个积分下载的。 包含杜勇原书《锁相环技术及其FPGA实现》yuanshu和代码。
<2723156911@qq.com> 在 2023-10-13 上传 | 大小:75251204 | 下载:0
« 1 2 ... .33 .34 .35 .36 .37 216338.39 .40 .41 .42 .43 ... 216416 »

源码中国 www.ymcn.org