资源列表

« 1 2 ... .09 .10 .11 .12 .13 216014.15 .16 .17 .18 .19 ... 216416 »

[其他小程序VC-call-matlab-multiThread

说明:VC++调用matlab画图,效果很好!值得看-VC++ Call matlab drawing, the effect is very good!
<sujiaji> 在 2024-10-05 上传 | 大小:1977344 | 下载:0

[其他小程序Medical-management-systemphp

说明:医药管理系统,非常好用,值得下载,已经成功的在多家药店使用-Medical management system, very easy to use, it is worth downloading, has been successfully used in a number of pharmacies
<hly> 在 2024-10-05 上传 | 大小:244736 | 下载:0

[2D图形编程sourcecode

说明:用NCC来实现图像的配准,代码能够直接运行,mfc-image register mfc
<yjk> 在 2024-10-05 上传 | 大小:4641792 | 下载:0

[.net编程Single-instance-applications

说明:Visual Studio 2005 brings new way of creating single instance applications via help of Application fr a mework. But to be able to use Application fr a mework, application must start with form. That s right - no support f
<mabrouk bennaoui> 在 2024-10-05 上传 | 大小:41984 | 下载:0

[其他小程序Savexml

说明:保存XML文件,对数据保存文件XML文件格式,有效掌握XML文件保存方法。-save XML file,To save the file data XML file format, to grasp the method of XML file.
<李映> 在 2024-10-05 上传 | 大小:19478528 | 下载:0

[Internet/网络编程count_english_words

说明:统计英文字数。本程序是在linux下开发的internet编程-statistics English word. The procedure was developed under linux internet programming
<机动车> 在 2024-10-05 上传 | 大小:3072 | 下载:0

[matlab例程duffing--parameter-variations

说明:duffing系统各参数变化引起的分岔,如激励频率,线性参数k1,还能计算李亚普洛夫指数-duffing system bifurcation parameter variations
<李明> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[.net编程Some-Advanced-Sql-Statements-And-Aggregated-Funct

说明:Visual Studio 2005 brings new way of creating single instance applications via help of Application fr a mework. But to be able to use Application fr a mework, application must start with form. That s right - no support f
<mabrouk bennaoui> 在 2024-10-05 上传 | 大小:150528 | 下载:0

[图形图像处理(光照,映射..)OpenGLpolygonscan

说明:多边形扫描线算法,非常有用哦。希望对大家有帮助。-polygon-scan method
<chenweilong> 在 2024-10-05 上传 | 大小:187392 | 下载:0

[其他小程序FormOrMenu

说明:vb6.0下DBGrid控件的简单使用,介绍窗体设计,以及菜单栏、工具栏和状态栏的设计范例-simple and practical DBGrid control under vb6.0 envirorment ,and form design,menu bar,toolbar,status bar the use of example
<姚克亮> 在 2024-10-05 上传 | 大小:564224 | 下载:0

[单片机(51,AVR,MSP430等)c8051f350-microcontroller-AD

说明:c8051f350AD数据采集及串口发送数据,已调试通过-c8051f350 microcontroller AD acquisition plus serial transmission
<caihaijun> 在 2024-10-05 上传 | 大小:1024 | 下载:0

[VHDL编程inv_matrix

说明:矩阵求逆模块硬件实现,用verilog语言,基于ISE开发环境-implement of inverse matrix
<allensmith88> 在 2024-10-05 上传 | 大小:2627584 | 下载:0
« 1 2 ... .09 .10 .11 .12 .13 216014.15 .16 .17 .18 .19 ... 216416 »

源码中国 www.ymcn.org