资源列表

« 1 2 ... .51 .52 .53 .54 .55 215956.57 .58 .59 .60 .61 ... 216416 »

[matlab例程drEEM_020

说明:用Matlab编写的三维荧光分析工具,可以导入、浏览荧光数据,自动进行平行因子分析,并确定荧光组分。-The drEEM toolbox (decomposition routines for Excitation Emission Matrices) has been developed to support and extend the application of PARAFAC to fluorescence Excitatio
<Mutou> 在 2024-10-06 上传 | 大小:5557248 | 下载:2

[Internet/网络编程Wolf

说明:相信大家见过了star的上线和大灰狼的插件版 Wolf结合了star 的上线,大灰狼的插件功能,比原来的更强大,上线更稳定!!!! 为了庆祝咖啡上了百度第一页,现在开源了这套源码!!!也给新手朋友练练手,小狼已经处理过了,*好做!!! 同时也给有需要的可以2次开发 -I believe we have seen the star on the line and the big bad wolf of plug-in v
<月禾> 在 2024-10-06 上传 | 大小:6561792 | 下载:2

[Internet/网络编程Ghost3.6sxfz

说明:在Ghost3.6远控源码的基础上加入了白金的树形分组源码。。。有很多朋友喜欢白金的分组 这款源码正好是原版加的树形分组 大家可以拿去三次开发-In the remote control source on the basis of Ghost3.6 joined the platinum group source tree. . . There are a lot of friends like this source of plat
<独立> 在 2024-10-06 上传 | 大小:759808 | 下载:2

[微处理器(ARM/PowerPC等)dali_master_lpc13xx

说明:基于数字调光技术(DALI)的驱动代码。采用LPC13XX主控制,采用定时器发送DALI forward fr a me,采用定时器捕获接收backward fr a me。完成DALI接收和发送数据的驱动。-Based on Digital Addressable Lighting Interface (DALI) driver code. Using LPC13XX master control, using a timer to
<蔡舒> 在 2024-10-06 上传 | 大小:32768 | 下载:2

[matlab例程Lagrange_for_2DOF

说明:二自由度机械臂动力学解算matlab程序-2DOF serial manipunation dynamic programming code by matlab
<饶刚> 在 2024-10-06 上传 | 大小:1024 | 下载:2

[VHDL编程endat

说明:endat 2.2 接口内核,发送命令至编码器或从编码器接收位置值-endat 2.2 interface cores, sending commands to the encoder or received the encoder position values
<陈宇霆> 在 2024-10-06 上传 | 大小:11264 | 下载:2

[数学计算/工程计算nonlinear-algorithm

说明:非线性算法合集,包括牛顿拉夫逊法,Broyden拟牛顿法,弧长法,割线法。每种算法都包含有线性搜索迭代改进。-Nonlinear algorithm collection, including Newton Raphson, Broyden quasi-Newton method, arc-length method, secant method. Each contains a linear search algorithms for
<figo> 在 2024-10-06 上传 | 大小:7168 | 下载:2

[.net编程rain

说明:HTML5实现下雨,水波特效,效果很炫,画面感极强-HTML5 realize it rains, water effects, the effect is stunning, picture strong sense
<小夏> 在 2024-10-06 上传 | 大小:28672 | 下载:2

[压缩解压Decimal-conversion-into-other-band

说明:十进制转换成其他进制 20131303032 学习资料,C语言版,压缩文件-Decimal conversion into other band Huang Zhuyun 20131303032
<张哓梦> 在 2024-10-06 上传 | 大小:13312 | 下载:2

[单片机(51,AVR,MSP430等)CH376_STM32_MP3

说明:通过STM32单片机CH376读写U盘中的音频文件,并通过音频解码芯片播放MP3。-STM32 microcontroller through CH376 read U disk audio files and play through the audio decoder chip MP3.
<罗工> 在 2024-10-06 上传 | 大小:3719168 | 下载:2

[matlab例程TOA_TR_RE

说明:代码实现了超宽带基本定位算法,包括TOA,TDOA,以及TOA三维定位,所有代码已经在matlab 7.1下调试通过-The code is about basic positioning alogrithm of UWB,including TOA,TDOA and the 3d positioning of TOA,all of them is debuged successfully on matlab 7.10.
<dingmin> 在 2024-10-06 上传 | 大小:4967424 | 下载:2

[驱动编程MFC_C_Executable_Demo_Software

说明:KX022 三軸偵測器 , 親自測試可用 , 最簡單的三軸加速器-KX022 is very good tri-state part . I like it .
<余建宏 > 在 2024-10-06 上传 | 大小:835584 | 下载:2
« 1 2 ... .51 .52 .53 .54 .55 215956.57 .58 .59 .60 .61 ... 216416 »

源码中国 www.ymcn.org