资源列表
排序选择:
[数据结构常用算法] subqet
说明:基本遗传算法,又称为SGA算法,其中包括了详尽的注释,执行效果还不错!()<JWZDrykm%4076476 > 在 2025-03-01 上传 | 大小:13kb | 下载:0
[数值算法/人工智能] 对LFM信号序列做分数阶傅立叶变换(frft)
说明:以下是对LFM信号做frft的matlab程序代码(The following is the matlab code for the FRFT of the LFM signal)<辛海涛 > 在 2025-03-01 上传 | 大小:8kb | 下载:0
[JSP源码/Java] 旅行日志
说明:旅行日志,上传音乐、图片,同时具有下载功能。(Travel log, upload music, pictures, and also have the download function.)<艾琳S > 在 2025-03-01 上传 | 大小:23.06mb | 下载:0
[行业应用软件] wlan_realtek_2023.47.1115.2016_w1064
说明:一键驱动安装,可以轻松帮您安装最新的驱动软件,方便装机,驱动更新(One key driver installation, can easily help you install the latest driver software, easy to install, drive updates)<微笑骑士 > 在 2025-03-01 上传 | 大小:2.87mb | 下载:0
[人工智能/神经网络/遗传算法] mkdecgbds
说明:多光谱影像融合的算法实现源代码,包括影像的相关处理()<Arwctp > 在 2025-03-01 上传 | 大小:354kb | 下载:1
[图形图像处理(光照,映射..)] 43646550
说明:堆排序算法,the heap sort algorithm()<Tqnykgrt > 在 2025-03-01 上传 | 大小:6kb | 下载:0
[书籍源码] 用matlab求伪Wigner-Ville分布
说明:用matlab求伪Wigner-Ville分布(Using the pseudo Wigner-Ville distribution with MATLAB)<辛海涛 > 在 2025-03-01 上传 | 大小:7kb | 下载:0
[微处理器(ARM/PowerPC等)] lu
说明:16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)<nodgd > 在 2025-03-01 上传 | 大小:798kb | 下载:0
[数据挖掘] nttwork_card-designphase
说明:多模式匹配算法——AC算法 参考文献:AC算法:Aho A V,Corasick M J.Efficient string()<segmgnte > 在 2025-03-01 上传 | 大小:6kb | 下载:0