搜索资源列表

  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47357
    • 提供者:fred
  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:47104
    • 提供者:fred
  1. eightbitadd

    0下载:
  2. 用VHDL语言实现8位的并行加法器,不同于行波进位加法器-8-bit parallel adder with VHDL, unlike the ripple carry adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:8372224
    • 提供者:yanyuwei
  1. ripple_adder8

    0下载:
  2. 这是一个简单的8位行波进位加法器的verilog代码,可以综合。-The code implement ripple_adder.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:31744
    • 提供者:黄春晓
  1. adder

    0下载:
  2. 包含32位有无符号数的加减法,verilog语言描述,加法器分别采用行为级描述、行波进位、平方根进位三种描述方法,并有简单的testbench-32bits adder with addition and subtraction function. verilog HDL language . three kinds of implementations: adder behavioral descr iption, ripple ca
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:D
  1. adder_4

    0下载:
  2. 四位加法器的三种实现方法,包括行为级描述、行波进位加法器、超前进位加法器-Three of four adder implementations, including behavioral descr iptions, ripple carry adder, look-ahead adder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:陈谋奇
  1. VHDL

    0下载:
  2. 设计一个具有进位输入和进位输出的8位行波进位加法器-8-bit ripple carry adder design having a carry input and a carry output
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:lee
  1. 设计实验二

    0下载:
  2. 在protues里可以直接运行,大神编写(In Protues can run directly, great God write)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:207872
    • 提供者:万寿吾江1

源码中国 www.ymcn.org