搜索资源列表

  1. 自动售货机模拟C++源程序

    1下载:
  2. 利用C++语言对自动售货机的售货过程进行了模拟,程序在用户输入了一些特定值之后能够自动模拟运行。是了解自动售货和学习C++的好教材。
  3. 所属分类:控制台(字符窗口)编程

  1. VendingMachine

    0下载:
  2. 自动售货机的模拟程序。在该程序的GUI中模拟选择商品并确认的过程-Program for simulate the automatic vending machine. You can simulate the procedure of choosing and confirming the merchandise from the GUI of the program.
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:shentong88365
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:143360
    • 提供者:温暖感
  1. shouhuoji

    0下载:
  2. 自动售货机源代码1. 自动售货机能销售三种商品:热狗1元、汉堡包2元、双层汉堡3元。设数量无限。 2. 自动售货机允许投入1元、2元、5元硬币。当总币值等于顾客需要的商品单价时,机器送出需要的商品,若大于时,机器除提供所需商品外,并将余币退出;若小于,则退出顾客投入的硬币,设计其内1元和2元的找零硬币无限。 -vending machine a source code. Vending machines sell three c
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:50176
    • 提供者:ju
  1. project

    0下载:
  2. 单片机类程序,有几个项目的,自动售货机、自动点烟器-Single-chip-type procedures, there are several items, vending machines, automatic cigarette lighter
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:524288
    • 提供者:bmagui
  1. vhdl1

    0下载:
  2. VHDL经典案例源码 有至少20个经典案例,如:自动售货机,分频器-Classic case of VHDL source code at least 20 classic cases, such as: vending machines, prescaler
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:168960
    • 提供者:卢卢
  1. shouhuoji

    0下载:
  2. 基于Verilong_HDL自动售货机的设计与实现,需要就下-Verilong_HDL vending machine based on the design and realization of the need on the next
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-20
    • 文件大小:292864
    • 提供者:悠酷男孩
  1. SELLER

    0下载:
  2. 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:chenyi
  1. autoseller

    0下载:
  2. (1)、自动售货机可以出售4种货物,每种商品的数量和单价在初始化时设定,并存储在存储器中; (2)、采用模拟开关分别模拟5角和一元的硬币进行购物,并通过按键来选择商品; (3)、系统能够根据用户输入的硬币,判断钱币是否够,当所投硬币达到或超过购买者所选面值时,则根据顾客要求自动售货,并找回剩余的硬币,然后回到初始状态。当所投硬币不够时,则给出提示,并通过一个复位键退回所投硬币,然后回到初始状态。 -(1), vending
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:秦发斌
  1. java

    0下载:
  2. 基于java的自动售货机,可自动分辨硬币,选择饮料-Java-based vending machine, can automatically distinguish coins, choice of beverage
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:sunguochen
  1. Simplevendingmachine

    0下载:
  2. 简单自动售货机, c51单片机程序,可以实现简单的自动售货功能。-Simple vending machine, c51 single-chip process, can be a simple function of the automatic vending.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:王斌
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:5120
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vendin
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-20
    • 文件大小:67584
    • 提供者:dws
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:418816
    • 提供者:Zeng jinqiang
  1. shouhuojixi1

    0下载:
  2. 自动邮票售货机,选择要购买的邮票,直接投入硬币就可以购买。(Automatic stamp vending machine, select the stamps to buy, directly into coins can buy.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1732608
    • 提供者:阿茉
  1. FPGA自动售货

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control syste
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:10240
    • 提供者:daolangliu
  1. OUMA自动售货

    0下载:
  2. 自动售货机exe文件及源码,C语言编写,简单易学(Vending machine EXE file and source code)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:44032
    • 提供者:帥樂
  1. 自动售货机系统

    1下载:
  2. 该自动售货系统的功能可分为两类。对于管理员,可建立库存信息,查询商品销售量及销售总额;而对于消费者,可查询商品信息,购买商品等主要功能。(The function of the vending system can be divided into two categories. For the administrator, the inventory information can be established, the sales v
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:qwerf
  1. 自动售货机修改后

    1下载:
  2. 简易的自动售货机,有售卖功能,展示所有商品,补货,盘点功能。 售卖功能有三种售卖方式,分别是微信支付宝现金,现金支付考虑找零和面额问题。展示商品展示所有的商品名 称价格序号以及当前售货机卖的总值。补货功能将所有缺的货物补满,盘点功能查看三种收货方式分别卖了多少钱。还有提醒功能,当某种货物少于三个或者零钱少于20,就会提醒。(Simple vending machine, with selling function, display al
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-20
    • 文件大小:57344
    • 提供者:小刀儿
  1. 《PHP自动售货发卡网源码》[php]

    0下载:
  2. 自动收货发卡网源码,PHP5.6+MYSQL5.6(Source code of automatic receiving and issuing network,PHP5.6+MYSQL5.6)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:6075392
    • 提供者:sdfsdf1
« 12 3 »

源码中国 www.ymcn.org