搜索资源列表

  1. 键盘钩子例子

    1下载:
  2. 键盘钩子的实例,以vc为开发环境。-keyboard hook example, vc for the development environment.
  3. 所属分类:钩子与API截获

    • 发布日期:2008-10-13
    • 文件大小:23622
    • 提供者:王楠
  1. 模拟测试鼠标及键盘的输入

    0下载:
  2. 模拟测试鼠标及键盘的输入 软件简介:本例模拟测试鼠标及键盘的输入,适合于初级vc编程者阅读. -simulation tests mouse and keyboard input software descr iption : The simulated test cases mouse and keyboard input, suitable for junior programmers vc reading.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2441568
    • 提供者:思沃琪
  1. 模拟测试鼠标及键盘的输入

    0下载:
  2. 本程序由VC++开发,用于 模拟测试鼠标及键盘的输入,初学者可以参考一下!-procedures by the Visual C + + development for simulation testing of the mouse and keyboard input, and beginners can take a look!
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:53229
    • 提供者:陈闲
  1. VC开发的键盘消息指令码分析

    0下载:
  2. VC开发的键盘消息指令码分析 -VC news keyboard instruction code analysis 7777777777777777777777
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:5561
    • 提供者:vhdp
  1. 键盘操作的动画

    0下载:
  2. 用vc.net编的程序,键盘方向键操作的动画移动-vc.net series with the procedures, keyboard keys direction of animation mobile operators
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:7388
    • 提供者:李文
  1. SDL-1.2.9-vc

    0下载:
  2. SDL是一个用来写多媒体软件的多平台库, 通过多平台对一般 音频, 键盘, 鼠标, 手柄, 3D OpenGL硬加速, 2D fr a mebuffer 进行支持的API,常用于游戏和模拟器. 这是一个for vc++6.0版本源代码-SDL is used to write a multimedia software for multi-platform, multi-platform on the general audio, ke
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2862884
    • 提供者:新天下
  1. dewe

    0下载:
  2. VC++编写的基于MFC的截获键盘信息的小程序,比较简单。-VC++ Prepared MFC based on the intercepted information in the keyboard applet, is relatively simple.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:56320
    • 提供者:陈少珠
  1. VISUALC

    0下载:
  2. .c++语法及编程技巧 2.vc开发环境设置和边缘调试技巧。 3.键盘鼠标输入处理技巧 4.常用控件使用技巧 5.对话框技巧 6.窗口界面处理技巧 7.文件,文件夹,磁盘操作技巧 8.数据库操作 9.进程线程和dll操作技巧 10.多媒体的处理技巧 11.通信的操作技巧 12.com组件技术操作技巧 13.系统编程技巧-. c++ syntax and programming skills
  3. 所属分类:系统编程

    • 发布日期:2024-05-13
    • 文件大小:4081664
    • 提供者:杨敬
  1. Key

    0下载:
  2. VC++键盘击键模拟程序,并带有声音,完美极了-VC++ keyboard keystroke simulation program, with voice, very perfect
  3. 所属分类:控制台(字符窗口)编程

    • 发布日期:2024-05-13
    • 文件大小:55296
    • 提供者:caobobo
  1. KeyBoard-VC

    0下载:
  2. 一个在Windows CE下开发的软键盘功能,支持联想输入,支持Unicode-One in the development of Windows CE under the soft keyboard feature, support for the importation of association to support Unicode
  3. 所属分类:Windows CE

    • 发布日期:2024-05-13
    • 文件大小:107520
    • 提供者:ack0810
  1. testHookdll

    0下载:
  2. vc下实现hook全局键盘鼠标事件的demo,hook到之后没有做具体操作。-vc under the overall implementation hook keyboard and mouse events demo, hook to NOT to do after the concrete operation.
  3. 所属分类:钩子与API截获

    • 发布日期:2024-05-13
    • 文件大小:65536
    • 提供者:wb
  1. 101259357KeyLogDll

    0下载:
  2. *小工具,VC和Delphi实现,很好用-Gadgets keyloggers, VC and Delphi to achieve, good with
  3. 所属分类:Windows编程

    • 发布日期:2024-05-13
    • 文件大小:55296
    • 提供者:lb
  1. KeyboardHook123

    0下载:
  2. vc 实现keyboard hook * vc 实现keyboard hook *-vc achieve keyboard hook keylogging vc achieve keyboard hook keylogging
  3. 所属分类:系统编程

    • 发布日期:2024-05-13
    • 文件大小:186368
    • 提供者:水月
  1. keyboard_reader

    0下载:
  2. VC写的*源代码!!!方便VC初学者学习制作外挂程序等的初级参考资料。-VC written records of the source code for the keyboard! ! ! For beginners learn how to make easy VC plug-in procedures, the primary reference.
  3. 所属分类:外挂编程

    • 发布日期:2024-05-13
    • 文件大小:1166336
    • 提供者:Bright
  1. MaskKey

    0下载:
  2. 键盘钩子,屏蔽键盘,VC++,包含DLL,VB,VC调用例程和源码-HOOK DLL,dis- keyboard
  3. 所属分类:系统编程

    • 发布日期:2024-05-13
    • 文件大小:44032
    • 提供者:D
  1. afte

    0下载:
  2. VC++如何检测键盘的每个按键 -VC++如何检测键盘的每个按键VC++如何检测键盘的每个按键
  3. 所属分类:Windows编程

    • 发布日期:2024-05-13
    • 文件大小:964608
    • 提供者:jinzq
  1. Mini_keyboard_src

    0下载:
  2. 一个完整的基于WIN32,VC++的屏幕软键盘的源码,很好的一个学习的例子-OnScreen Mini keyboard source code, good example for win32 program
  3. 所属分类:Windows编程

    • 发布日期:2024-05-13
    • 文件大小:43008
    • 提供者:Simon
  1. softbutton

    0下载:
  2. 软键盘 利用按键实现 vc编程 面向对象-softbutton
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:1180672
    • 提供者:钢灵海川
  1. jpjlyscxym

    0下载:
  2. *演示程序源码,VC程序,是新手们的一手好材料。-Keylogger demo program source code, VC process, the novice a good first-hand material.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:11264
    • 提供者:yjihbj
  1. VC-HID

    0下载:
  2. 使用VC++访问如键盘,鼠标和自定义的HID设备-Using VC++ to access such as a keyboard, mouse, and a custom HID device
  3. 所属分类:驱动编程

    • 发布日期:2024-05-13
    • 文件大小:3099648
    • 提供者:飞龙
« 1 2 3 45 6 7 8 9 10 ... 37 »

源码中国 www.ymcn.org