搜索资源列表

  1. m序列

    2下载:
  2. Verilog编写的M序列发生器,希望能对大家带来帮助。 -Verilog prepared by the M-sequence generator, we hope to bring help.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4913
    • 提供者:张林
  1. m序列发生器

    0下载:
  2. m序列发生器(简单型码序列发生器-----simple shift register generator)-m sequence generator (a simple code sequence generator ----- simple shift Regi ster generator)
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3234
    • 提供者:mingerlier
  1. m序列产生器

    0下载:
  2. m序列产生器 用于扩频通信-m sequence generator for spread spectrum communications
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3037
    • 提供者:田允国
  1. M序列产生程序

    0下载:
  2. matlab在系统辨识中的应用此处为M序列产生的源代码及运行后结果(包括图像)-Matlab system identification in the application here for the M series of source code and run after the results (including images)
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:10767
    • 提供者:于瑞
  1. 周期为31的m序列

    0下载:
  2. 一个产生周期为31的m序列,有详细的解释
  3. 所属分类:matlab例程

    • 发布日期:2010-10-08
    • 文件大小:719
    • 提供者:lhy449276324
  1. mSeqSample

    0下载:
  2. 通信、编码中m序列采样实现代码-communications, coding sequence sampling m code
  3. 所属分类:通讯编程

    • 发布日期:2024-05-21
    • 文件大小:9216
    • 提供者:李武军
  1. mSeqSampleMFC

    0下载:
  2. 通信、编码中m序列采样实现代码MFC版本-communications, coding sequence sampling m MFC code version
  3. 所属分类:通讯编程

    • 发布日期:2024-05-21
    • 文件大小:29696
    • 提供者:李武军
  1. mka_m_series

    0下载:
  2. 用于产生m序列-use in generating m sequence
  3. 所属分类:matlab例程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:李朗
  1. pseudorandom

    0下载:
  2. 伪随机m序列产生的VHDL语言程序- program in VHDL language for generating pseudo-random m sequence
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:张庆辉
  1. mseq

    0下载:
  2. m序列的生成,包括在有限域GF(p)中的基于不同p取值,不同级数条件下的本原多项式多种选择条件下的m序列生成。-m sequence generation, including in GF (p), the p value based on different, different levels under the conditions of primitive polynomial number of options under th
  3. 所属分类:编程文档

    • 发布日期:2024-05-21
    • 文件大小:3072
    • 提供者:李南
  1. matlab_sys_inf

    0下载:
  2. 系统辨识的输入信号为一个周期的M序列,从 中分离出并显示a1 、a2、 b1、 b2,画出输出观测值z的经线图形,并显示坐标网格-system identification of the input signal for a period of the M sequence, isolated from the show and a1, a2, b1, b2, paint output observation z warp graphi
  3. 所属分类:绘图程序

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:小精豆
  1. m_sequence

    1下载:
  2. 一个matlab程序,m序列产生程序, 因为M序列很重要,要的可以下哦 -a procedure for selecting the sequence of m, because the M series is very important, to be the next Oh
  3. 所属分类:通讯编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:刘三
  1. mmmmmm

    0下载:
  2. m序列分析-m sequence analysis
  3. 所属分类:语音合成与识别

    • 发布日期:2024-05-21
    • 文件大小:417792
    • 提供者:
  1. yyyyyxxxx

    0下载:
  2. m序列产生-m sequence generated
  3. 所属分类:界面编程

    • 发布日期:2024-05-21
    • 文件大小:1114112
    • 提供者:
  1. yyyyyy

    0下载:
  2. 加法程序m序列产生-Adder m sequences have procedures
  3. 所属分类:界面编程

    • 发布日期:2024-05-21
    • 文件大小:696320
    • 提供者:
  1. ss_mlsrs

    0下载:
  2. 用来产生M序列的MATLAB程序,其周期为31位,结合pn.m可生成GOLD序列。-used to produce M sequence MATLAB, cycle 31. combining pn.m GOLD sequences can be generated.
  3. 所属分类:通讯编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:罗旋
  1. mcode

    0下载:
  2. 一个典型的m序列发生器,生成m序列:1110010-a typical sequence generator m, m Sequence Generation : 1110010
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:152576
    • 提供者:hailaing
  1. m

    0下载:
  2. m序列生成文件,带有我自己写的仿真,结果在modelsim6.0f中生成正确。-m sequence generation file, written with my own simulation results generated in the modelsim6.0f correct.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:17408
    • 提供者:刘洪朋
  1. M

    0下载:
  2. 数据通信 通过输入原始序列,输出 M序列,并且输出初始序列变化的过程(Data communication output M sequence through the original sequence)
  3. 所属分类:Windows编程

    • 发布日期:2024-05-21
    • 文件大小:527360
    • 提供者:Mihriban
  1. m、gold序列自相关

    0下载:
  2. 生成m序列和gold序列的matlab代码,并比较他们的自相关、互相关特性。(Generate matlab code of m-sequence, and control the generation of m-sequence with specified requirements through input tap)
  3. 所属分类:通讯/手机编程

« 1 23 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org