搜索资源列表

  1. 0070509379

    0下载:
  2. this archive is a document that us allows make a simulation of modulator sigma delta
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:23467008
    • 提供者:tome
  1. script_16qam_gray_mapping_bit_error_rate

    0下载:
  2. 16QAM的误码率性能分析的matlab仿真 -These code are some matlab codes for BPSK modulator and demodulator in fading channels for wireless communications
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:huxuefeng
  1. bpsk_spread_spectrum_modulator_demodulator

    0下载:
  2. code for bpsk spread spectrum modulator used in cdma -code for bpsk spread spectrum modulator used in cdma ..
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:8192
    • 提供者:ANIL
  1. ASK_mod

    0下载:
  2. ask modulator in simulink
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:8192
    • 提供者:Mohamed
  1. IJEST10-02-09-165

    0下载:
  2. IMPLEMENTATION OF DIGITAL QPSK MODULATOR BY USING VHDL / MATLAB
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:343040
    • 提供者:nashwa
  1. sigmadelta

    0下载:
  2. sigma delta modulator
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:572416
    • 提供者:Ab Ba
  1. GMSK

    0下载:
  2. matlab simulation of gmsk modulator and demodulator with output graphs. good reference values.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:122880
    • 提供者:bhargav
  1. randomization

    0下载:
  2. 数字电视DVB-C/T调制器的randomize模块-Digital TV DVB-C/T randomize the modulator module
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:yuzhiwu
  1. AM-Modulator-Demodulator

    0下载:
  2. AM调制解调 能运行的 基于LABVIEW-AM AM Modulator Demodulator
  3. 所属分类:Modem编程

    • 发布日期:2024-05-19
    • 文件大小:53248
    • 提供者:keyongbin
  1. digital_sigma_delta_modulator

    1下载:
  2. simulink模拟的二阶sigma delta调制器 可以提高传输速率 中间用到了半带滤波器和FIR滤波器CIC滤波器 进行过采样-Simulink simulation of second order sigma delta modulator can improve the transmission rate use among the half took filter and FIR filter CIC filter samp
  3. 所属分类:语音合成与识别

    • 发布日期:2024-05-19
    • 文件大小:68608
    • 提供者:李小白
  1. Ultrafast-all-optical-modulator

    0下载:
  2. Ultrafast all-optical modulator with femtojoule absorbed switching energy in silicon-on-insulator
  3. 所属分类:Modem编程

    • 发布日期:2024-05-19
    • 文件大小:1050624
    • 提供者:karim
  1. DSM_PLL_reva

    0下载:
  2. 展频PLL时钟(SSC)产生电路,可以产生三角波、正弦波或者单频载波-Delta_Sigma Modulator, to be used in TXPLL with SSC modulation. this program simulates the Spread spetrum clocking scheme. the SSC can be set to triangle wave or sinewave, or you can
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:
  1. modelo64qam

    0下载:
  2. Lte simulink model using turbo code ofdm an 16qam modulator
  3. 所属分类:技术管理

    • 发布日期:2024-05-19
    • 文件大小:13312
    • 提供者:LuisMa
  1. General-analog-modulator

    0下载:
  2. 代码设计了一个基于正交调制的通用模拟调制器,该调制器支持AM,DSB,USB,LSB,FM,以及AM-FM调制方式。并且给定信号范围,验证上述调制器的正确性。-The code design a general analog modulator based on quarture modulation.The modulator supports AM,DSB,USB,LSB,FM and AM-FM.Given the range o
  3. 所属分类:matlab例程

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:xiaomaisui
  1. si-modulator-using-pcmzi-structure

    0下载:
  2. 描述了关于光子晶体型电光调制器的设计方案以及PCMZI的发展趋势-Describes the design programs, as well as on the type of photonic crystal electro-optic modulator PCMZI trends
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:648192
    • 提供者:
  1. amplitude-modulator

    0下载:
  2. amplitude modulator design using multisim
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:115712
    • 提供者:Lean
  1. PSK-modulator-design-using-VHDL

    0下载:
  2. 用VHDL语言编程来实现PSK调制器的设计,1)产生基带序列 ,此处用M序列 2)完成PSK调制 -PSK modulator using VHDL language programming to design, 1) generates the baseband sequence, here M-sequence 2) complete the PSK modulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:185344
    • 提供者:邹燕喃
  1. modulator

    0下载:
  2. modulator for ofdm slmulation system
  3. 所属分类:3G开发

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:medo
  1. modulator-fm

    0下载:
  2. modulator FM with multisim
  3. 所属分类:语音合成与识别

    • 发布日期:2024-05-19
    • 文件大小:49152
    • 提供者:rey
  1. modulator-based-on-the-AD9957

    0下载:
  2. 介绍了一种以AD9957为核心器件的基于FPGA和DDS技术的通用数字调制器-This article introduces an universal digital modulator which is based on the AD9957 IC, FPGA, the technology of DDS.
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:595968
    • 提供者:tianhongliang
« 1 2 34 5 6 7 8 9 10 ... 26 »

源码中国 www.ymcn.org