搜索资源列表

  1. shuziluyinji

    0下载:
  2. 数字录音机:进一步掌握A/D转换器与D/A转换器的使用方法。-Digital voice recorders: further grasp the A/D converter and D/A converters use.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-13
    • 文件大小:12288
    • 提供者:莫箴言
  1. Threads.Primer.A.Guide.to.Multithreaded.Programmin

    0下载:
  2. Threads.Primer.A.Guide.to.Multithreaded.Programming,多线程编程的入门级参考书-Threads.Primer.A.Guide.to.Multithreaded.Programming, multi-threaded programming entry-level reference
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:860160
    • 提供者:胡伟
  1. OpenGL-Bezier

    0下载:
  2. 本代码为Bezier画法,可输入10个控制点和最多生成199个象素点。-The code for Bezier drawing method, enter the 10 control points and to generate a maximum of 199 pixel points.
  3. 所属分类:OpenGL

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:李文晖
  1. EM-algorithm

    0下载:
  2. 这篇文章介绍了EM算法,并且提出了一种加速算法,很不错-This article introduced the EM algorithm, and a speed up the algorithm, very good
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-13
    • 文件大小:1340416
    • 提供者:guoguo
  1. graph

    0下载:
  2. (1).用Bresenham算法绘制直线. (2).用Bresenham算法画图. (3).用打点(如:C语言的putpixel())法显示汉字,汉字库以文件形式保存,以图形方式显示汉字. (4).在二维空间绘制一个多边形(三角形,四边形任选),然后实施平移变换,对称变换和旋转变换,-(1). Bresenham algorithm for drawing a straight line to use. (2). By dr
  3. 所属分类:绘图程序

    • 发布日期:2024-05-13
    • 文件大小:17408
    • 提供者:鹰隼
  1. juchibo

    0下载:
  2. 实验十一 数/模转换器 一、实验目的 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 二、实验内容 1、实验电路原理如图11-1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),利用debug输出命令(Out 290 数据)输出数据 给DAC0832,用万用表测量单极性输出端Ua及双极性输出端Ub的电压,验证数字与电压之间的线性关系。 2、编程产生以下波形(从Ub输出,
  3. 所属分类:汇编语言

    • 发布日期:2024-05-13
    • 文件大小:18432
    • 提供者:wind
  1. zhengxuanbo

    0下载:
  2. 一、实验目的 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 二、实验内容 1、实验电路原理如图36,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),利用debug输出命令(Out 290 数据)输出数据给DAC0832,用万用表测量单极性输出端Ua及双极性输出端Ub的电压,验证数字与电压之间的线性关系。 2、编程产生以下波形(从Ub输出,用示波器观察) (1)锯齿波
  3. 所属分类:汇编语言

    • 发布日期:2024-05-13
    • 文件大小:17408
    • 提供者:wind
  1. a

    0下载:
  2. 里边包含了现成的代码实现,很值得收藏哦~-Inside contains a ready-made code, it is worthy of collection Oh ~
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-13
    • 文件大小:7168
    • 提供者:黎明
  1. DSP_AD

    0下载:
  2. 介绍了A/D转换芯片AD73360的应用实例,并附有电路图,比较详细哦-Introduced A/D conversion chip AD73360 application, together with schematics, a more detailed Oh
  3. 所属分类:软件工程

    • 发布日期:2024-05-13
    • 文件大小:191488
    • 提供者:李玲
  1. P87LPC768

    0下载:
  2. 基于P87LPC768的电机控制系统 (说明及源程序) [ 运用P87LPC768 的脉宽调制功能实现对电机的恒转速控制 用P87LPC768 自带的A/D 转换 -P87LPC768 based motor control system (descr iption and source) [the use of pulse width modulation P87LPC768 functions of motor speed co
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:429056
    • 提供者:vobno
  1. Astar_8

    0下载:
  2. 通过A星算法解决8数码问题,可以输入初始值,给出解路径-Through the A Star algorithm to solve 8 digital issues, can enter the initial value, given the path solution
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-13
    • 文件大小:12288
    • 提供者:郭毅
  1. calculate mean value os a rasterlayer under a poly

    0下载:
  2. calculate mean value os a rasterlayer under a polygon layer
  3. 所属分类:GIS编程

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:ChunKaiChen
  1. funambol-cpp-api-6.0.8

    0下载:
  2. This SDK allows to integrate a syncml stack in a C++ application on a variety of platforms. Currently, Windows, WinMobile and Linux are actively supported, but you can easily build it on other Unixes or other mobil
  3. 所属分类:Windows Mobile

    • 发布日期:2024-05-13
    • 文件大小:1950720
    • 提供者:gaoyuesong
  1. JIN

    0下载:
  2. 录制一段个人自己的语音信号,并对录制的信号进行采样;画出采样后语音信号的时域波形和频谱图;给定滤波器的性能指标,采用窗函数法和双线性变换设计滤波器,并画出滤波器的频率响应;然后用自己设计的滤波器对采集的信号进行滤波,画出滤波后信号的时域波形和频谱,并对滤波前后的信号进行对比,分析信号的变化;回放语音信号;最后,设计一个信号处理系统界面。-Record a person s own voice signal, and recording
  3. 所属分类:matlab例程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:yim
  1. a

    0下载:
  2. 这个程序主要实现的是把1到5000内的素数输出.而且 有详细的描述过程-The main achievement of this procedure is to 1-5000 within the prime output. And a detailed descr iption of the process
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-13
    • 文件大小:234496
    • 提供者:刘允浩
  1. mazerobot

    0下载:
  2. 利用人工智能的经典算法实现迷宫游戏;里面的A星(a*)算法可以很方便的移植到应用程序中,可以选择机器人,人工行走,选择背景,选择迷宫等,这是初级版本,高级版本正在修改。-The use of artificial intelligence algorithms to achieve the classic maze game inside A Star (a*) algorithm can be easily transplanted
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-05-13
    • 文件大小:1113088
    • 提供者:赵彩萍
  1. VerilogHDL_trafficlight

    0下载:
  2. 采用Verilog HDL语言编写的交通灯控制系统,这是一个完整的毕设课题,分别有分频、显示译码、倒计时和动态显示驱动模块,实用价值很高,-Using Verilog HDL language of the traffic lights control system, which is a complete set of BI subjects who were frequent, indicating decoding, countd
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:363520
    • 提供者:廖耿耿
  1. congqidainlanzidongjianchexitong

    0下载:
  2. 本程序是一种先进的充气电缆气压自动监测系统的程序。其特点是可以对电缆线路上各点的气压值进行24小时实时监控。使管理人员可以实时掌握电缆内的气压情况,及时发现漏气隐患,从而保证通信畅通。 系统采用性能价格比较好的AK-3 型压力传感器,直接输出0~5V电压信号。电压信号的转换采用串行接口的12位A/D转换器TLC2543,精度和速度都能很好地满足系统要求。仪器的CPU采用目前低价位但技术十分成熟的AT89C51,仪器的LED数码管显示
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:6144
    • 提供者:杨志亮
  1. taiyangnengzhinengreshukongzhixitong

    0下载:
  2. 本程序是一个太阳能热水器智能控制系统的程序。它以89C52单片机为核心,配合电阻型4档水位传感器、负温度系数NTC热敏电阻温度传感器、8255A扩展键盘和显示器件、驱动电路(电磁阀、电加热、报警)等外围器件, 完成对太阳能热水器容器内的水位、水温测量、显示;时间显示;缺水时自动上水,水溢报警;手动上水、参数设置;定时水温过低智能电加热等功能。 其中本文第一章主要说明了太阳能热水器智能控制系统的研究现状和本课题的主要任务,第二章对系统
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:3072
    • 提供者:杨志亮
  1. SWT

    0下载:
  2. 采用a trous算法实现静态小波变换(SWT), 分解两层,可很好的实现降躁.-A trous algorithm using a static wavelet transform (SWT), decomposition of a two-tier could be a good realization of descending impatient.
  3. 所属分类:波变换

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:李澍
« 1 2 ... 44 45 46 47 48 4950 »

源码中国 www.ymcn.org