搜索资源列表

  1. TLC5510.VHDL

    0下载:
  2. TLC5510 VHDL控制程序 基于VHDL语言,实现对高速A/D器件TLC5510控制-TLC5510 VHDL control procedures based on the VHDL language, to achieve high-speed A / D control device TLC5510
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3351
    • 提供者:少龙
  1. TLC5510.VHDL

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:少龙
  1. TLC5510

    0下载:
  2. CPLD下的A/D转换器TCL5510驱动源码-CPLD under the A/D converters TCL5510 driven FOSS
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-14
    • 文件大小:34816
    • 提供者:陈子牙
  1. ADC_TCL5510

    0下载:
  2. ADC TLC5510的测试程序,经过测试通过,十分简单好用-ADC TLC5510 test procedures, after the test is very simple and easy
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:liqijun
  1. TLC5510

    0下载:
  2. altera Quartus II TLC晶片控制 可控制暫存器,手動調整內碼。 (含電路) -altera Quartus II TLC chip control registers can be controlled manually adjust the code. (With circuit)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:129024
    • 提供者:陳小龍
  1. TLC5510VHDL

    0下载:
  2. 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制-Features: Based on the VHDL language, realize high-speed A/D control devices TLC5510
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:黄上
  1. TLC5510_VHDL

    0下载:
  2. 基于VHDL语言,实现对高速A/D器件TLC5510控制-Based on the VHDL language, to achieve high-speed A/D device control TLC5510
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:huangsong
  1. chengxu

    1下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1277952
    • 提供者:chenjy
  1. TLC5510-VHDL

    0下载:
  2. tcl5510的控制程序,采用硬件描述语言VHDL编写,对与刚入门的学者有很大的帮助-tcl5510 control procedures, the use of VHDL hardware descr iption language to prepare for entry-level academics and just very helpful
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:chenqin
  1. tlc5510

    0下载:
  2. TI公司的TLC5510的用VHDL写的控制器及其仿真-TI' s TLC5510 use the controller to write VHDL and its simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1239040
    • 提供者:yangzhibin
  1. TLC5510

    0下载:
  2. 基于FPGA的TLC5510控制器的设计VHDL源码-FPGA-based controller design TLC5510 the VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1142784
    • 提供者:sky
  1. TLC5510

    0下载:
  2. TLC5510与单片机的接口程序 并用CH451显示-The TLC5510 and MCU interface program and show CH451
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:时海林
  1. TLC5510

    0下载:
  2. 文件名:TLC5510.vhd 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 -File Name: TLC5510.vhd features: Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3072
    • 提供者:Aria
  1. TLC5510

    0下载:
  2. tlc5510的vhdl程序,有详细的工程文件,为初学者提供很好多的资料-tlc5510 of vhdl procedures, detailed engineering documents, in order to provide a good amount of information for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:35840
    • 提供者:wangyl
  1. tlc5510

    0下载:
  2. 常用高速数模转换芯片TLC5510的控制程序-AD-chip TLC5510 control program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:138240
    • 提供者:yeyang
  1. TLC5510

    0下载:
  2. 基于VHDL语言,实现对高速A/D 器件TLC5510 控制-Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:Aaron Chen
  1. TLC5510

    0下载:
  2. VHDL实现对TLC5510的控制,带有signaltap仿真图-VHDL implementation of the TLC5510 control, with signaltap simulation diagram
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:3077120
    • 提供者:成天
  1. TLC5510

    0下载:
  2. 采用超高速AD存储示波器程序设计。器件是采用TLC5510。用FPGA来控制实现。-The ultra high speed AD storage oscilloscope programming. Device is used TLC5510. Using FPGA to control the implementation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:刘大仔
  1. TLC5510

    0下载:
  2. 代码是高速AD tlc5510的驱动代码-Code is high-speed AD tlc5510 driver code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:294912
    • 提供者:zxw
  1. TLC5510

    0下载:
  2. TLC5510是美国德州仪器(TI)公司生产的8位半闪速结构模数转换器,它采用CMOS工艺制造,可提供最小20Msps的采样率。可广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM解调器等方面。文中介绍了TLC5510的性能指标、引脚功能、内部结构和操作时序,给出了TLC5510的应用线路设计和参考电压的配置方法。-TLC5510 is Texas Instruments (TI) produced eight half-fl
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:1027072
    • 提供者:韦家正
« 12 3 4 »

源码中国 www.ymcn.org