搜索资源列表

  1. LED7

    0下载:
  2. 单片机的七段数码管的数字生成代码的转换小工具,希望对大家有益-SCM digital paragraph 107 of the code generation digital conversion of small tools, we hope to benefit
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:323102
    • 提供者:Bruce
  1. led7

    0下载:
  2. 利用51单片机实现7段数码管的动态显示的程序
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1081
    • 提供者:zj
  1. yinyuebofanqi

    0下载:
  2. 1.走时,六位LED7段数码管接24小时制显示时钟。 2.一个按键实现选曲(2~3首歌),并且要将所选的曲号通过显示器显示出来。 3.一个按键用来实现播放,播放结束后正常显示时钟,一个按键用来实现停止。 4.通过单片机的P1口的某个输出口驱动喇叭发出不同音节的声音。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1705
    • 提供者:王剑
  1. 译码

    0下载:
  2. EDA常用双LED显示译码程序,将四位二进制数译码为七位对应于LED7位输入的高低电平信号-EDA common dual LED display decoding procedure will be four binary decoding for seven LED7 spaces corresponding to the input signal circuits
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2822
    • 提供者:李培
  1. 译码

    0下载:
  2. EDA常用双LED显示译码程序,将四位二进制数译码为七位对应于LED7位输入的高低电平信号-EDA common dual LED display decoding procedure will be four binary decoding for seven LED7 spaces corresponding to the input signal circuits
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:2048
    • 提供者:李培
  1. LED7

    0下载:
  2. 单片机的七段数码管的数字生成代码的转换小工具,希望对大家有益-SCM digital paragraph 107 of the code generation digital conversion of small tools, we hope to benefit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-12
    • 文件大小:322560
    • 提供者:Bruce
  1. led7

    0下载:
  2. 利用51单片机实现7段数码管的动态显示的程序-Realize the use of 51 single-chip digital tube 7 shows the dynamic process
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:zj
  1. yinyuebofanqi

    0下载:
  2. 1.走时,六位LED7段数码管接24小时制显示时钟。 2.一个按键实现选曲(2~3首歌),并且要将所选的曲号通过显示器显示出来。 3.一个按键用来实现播放,播放结束后正常显示时钟,一个按键用来实现停止。 4.通过单片机的P1口的某个输出口驱动喇叭发出不同音节的声音。-1. Traveltime, paragraph 6 LED7 access digital tube display 24-hour clock. 2.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-12
    • 文件大小:1024
    • 提供者:王剑
  1. led

    0下载:
  2. 单片机实现led7位数码显示管的固定数值显示-SCM-bit digital display tube led7 fixed numerical display
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-12
    • 文件大小:19456
    • 提供者:陈言
  1. eee

    0下载:
  2. 设计一个彩灯控制器,具体要求如下: 1. P1端口外接8个LED灯,LED0-LED7。 2. 彩灯闪耀方式自定,闪动频率为1s。 3. 具有彩灯启、停控制功能,控制方式自定。 4. 利用单片机内部定时器,要求采用中断方式。 已知系统主频为8MHz。-To design a lantern controller, specific requirements are as follows: 1. P1 por
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-12
    • 文件大小:8192
    • 提供者:zackard
  1. P1ExE

    0下载:
  2. P1口扩展实验 P1口既做输入口又做输出口,KEY1做为左转弯开关,KEY2做为右转弯开关。LED5、LED6做为左转弯灯,LED7、LED8做为右转弯灯(如图2-2)。 编程实现汽车转向灯功能,即:KEY1接高电平KEY2接低电平时,右转弯灯灭,左转弯灯以一定频率闪烁(注意:KEY拨向上方为0);KEY2接高电平KEY1接低电平时,左转弯灯灭,右转弯灯以一定频率闪烁;KEY1、KEY2同时接低电平时,发光二极管全灭;KEY1、KE
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-12
    • 文件大小:57344
    • 提供者:mkd
  1. Text1

    0下载:
  2. 4位led7段数码管显示 DS18B20测温 -4 DS18B20 temperature
  3. 所属分类:汇编语言

    • 发布日期:2024-06-12
    • 文件大小:2048
    • 提供者:谭达
  1. led7-audio

    0下载:
  2. ICETEK-VC5416-C使用说明书-audio
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-12
    • 文件大小:15360
    • 提供者:jack
  1. USByingyongruanjianpingtai

    0下载:
  2. 基于VC++编程的USB应用平台,用于USB接口通信控制LED7段显示的控制,为主机控制平台程序-VC++ programming on the USB application platform for the USB control interface shown above LED7 control, process control platform for the host
  3. 所属分类:C#编程

    • 发布日期:2024-06-12
    • 文件大小:87040
    • 提供者:wangpiao
  1. dingshi

    0下载:
  2. 两个定时实验,1.具体要求:采用定时器T0的溢出中断,单片机P1.0接发光二极管LED0。T0的每一次中断,在中断处理程序中都会对LED0的状态做一次改变(P1.0输出信号进行反转)。 2.具体要求:定时器T1每0.05秒中断一次,单片机P1口接8个发光二极管LED0~LED7,编写程序,使发光二极管有规律地循环点亮。例如:开机后,第一秒钟LED0、LED2亮,第二秒钟LED1、LED3亮,第三秒钟LED4、LED6亮,第四秒钟LE
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-12
    • 文件大小:78848
    • 提供者:scarly
  1. liushuideng

    0下载:
  2. 流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achiev
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:1768448
    • 提供者:赵剑平
  1. led7

    0下载:
  2. 一个用Verilog语言实现的七段数码管显示。包含工程文件和实现文档。-One with the Verilog language implementation of the seven-segment LED display. And the achievement of the document contains the project file.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:74752
    • 提供者:文闯
  1. LED7

    0下载:
  2. 七段数码管的源代码 用Quartus II 9.0 (32-Bit) 编译的七段数码管的驱动程序-thes is LED7
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-12
    • 文件大小:256000
    • 提供者:lhy
  1. COSMIC-CX-2V07U-TMD8805X02-LED7-WINBOND25O80-DL6C

    0下载:
  2. COSMIC CX-2V07U-TMD8805X02-LED7-WINBOND25O80-DL6C
  3. 所属分类:菜单

    • 发布日期:2024-06-12
    • 文件大小:572416
    • 提供者:Daus
  1. AL1389J-1A.R50.25F80.DVD-082A.LED7

    0下载:
  2. AL1389J-1A.R50.25F80.DVD-082A.LED7
  3. 所属分类:DVD

« 12 3 »

源码中国 www.ymcn.org