搜索资源列表

  1. key_scan

    0下载:
  2. 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware descr iption language (VHDL) to achieve : 4 * 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:308451
    • 提供者:刘赛
  1. KEY_SCAN

    0下载:
  2. 键盘扫描程序,逐行拉低,错位相交的方法实现
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1368
    • 提供者:cz
  1. KEY_scan

    0下载:
  2. 基于lpc2148的按键扫描程序,在lpc2148上成功调试 并可以在lcd上显示
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:160594
    • 提供者:王彦
  1. key_scan

    0下载:
  2. avr单片机键盘扫描程序,winavr编译
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:30083
    • 提供者:cht
  1. key_scan.tex

    0下载:
  2. 键盘扫描,并用数码管显示出来。有8个按键,没按下一个键表示一种数据
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1113
    • 提供者:蒙林
  1. key_scan

    0下载:
  2. 经典的键盘扫描程序 摒弃了细节直接可作为函数用。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1015
    • 提供者:power3347
  1. key_scan

    0下载:
  2. 由于arm板的键盘扫描程序,c语言编写。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1406
    • 提供者:王华
  1. KEY_SCAN

    0下载:
  2. 4x4矩阵键盘的扫描程序 //按相应的按键,数码管显示相应的数字,键盘接口为行列式
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:33832
    • 提供者:chen
  1. key_scan

    0下载:
  2. 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware descr iption language (VHDL) to achieve : 4* 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:308224
    • 提供者:刘赛
  1. KEY_SCAN

    0下载:
  2. 键盘扫描程序,逐行拉低,错位相交的方法实现-Keyboard scanner, progressive degrade realize dislocation intersect method
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:cz
  1. KEY_scan

    0下载:
  2. 基于lpc2148的按键扫描程序,在lpc2148上成功调试 并可以在lcd上显示-LPC2148-based keystroke scan procedures, the LPC2148 successfully debug and can be displayed in the lcd
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-09
    • 文件大小:160768
    • 提供者:王彦
  1. key_scan

    0下载:
  2. avr单片机键盘扫描程序,winavr编译-avr Singlechip keyboard scanner, winavr compiler
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:29696
    • 提供者:cht
  1. key_scan.tex

    0下载:
  2. 键盘扫描,并用数码管显示出来。有8个按键,没按下一个键表示一种数据-Keyboard scanning, and digital tube display. There are eight buttons, did not press a key that a data
  3. 所属分类:汇编语言

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:蒙林
  1. key_scan

    0下载:
  2. 经典的键盘扫描程序 摒弃了细节直接可作为函数用。-Classic keyboard scanning process is going to abandon its details can be used as a direct function used.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:power3347
  1. key_scan

    0下载:
  2. 由于arm板的键盘扫描程序,c语言编写。-As the arm board keyboard scanner, c language.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:王华
  1. lcd

    0下载:
  2. 该程序文件作为密码小键盘项目的主程序模块,用于控制整个密码键盘的状态及工作流程, 通过串口接收主机命令,进行分析,对于不同的命令进行不同情况处理。控制键盘录入密码, 调用LCD、LED显示状态,语音提示,调用3DES加密函数对密码进行加密,最后将密文送回至主机。 键盘扫描模块:unsigned char key_scan(),主机发送输入密码命令时,调用该程序,录入 密码,键盘扫描程序得到的密码以ASCLL码的形式通
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:7168
    • 提供者:rewofei
  1. key_scan

    0下载:
  2. 一种很实用的去抖动、按键扫描驱动程序,能够正确的读取到按键值-A very practical to jitter, key scan driver can correctly read the key value
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:3072
    • 提供者:徐浩
  1. key_scan

    0下载:
  2. FPGA键盘扫描,采用VHDL语言编写的键盘扫描代码-FPGA keyboard scanning, the use of VHDL language keyboard scan code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:zyc
  1. KEY_SCAN.ZIP

    0下载:
  2. 基于MSP430单片机的键盘扫描驱动程序,P2口,已测试通过,现分享出来-key_scan base on msp430f149
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:2048
    • 提供者:anist du
  1. STM8S003-Key_Scan

    0下载:
  2. STM8S003-Key_Scan 例程-STM8S003-Key_Scan
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-09
    • 文件大小:217088
    • 提供者:mozaer ruan
« 12 3 4 »

源码中国 www.ymcn.org