搜索资源列表

  1. seg

    0下载:
  2. 7段数码管显示的VHDL语言,适合初学者用,相当不错的
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:799
    • 提供者:土波
  1. clock_controller

    0下载:
  2. 89C2051 + 4-digit 7-SEG led + 4-key switch c源代码-89C2051 4-digit 7 - SEG led 4-c switch key source
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7724
    • 提供者:李长武
  1. ATmega88 4-digi LED clock

    1下载:
  2. 软件使用主时钟和RTC, backup电池掉电了也能跑! HT1381/DS1302 RTC, 7-SEG管为共阴, 软件中SEG为负逻辑,COM为正逻辑以便驱动三极管作高亮度显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. clock_controller

    0下载:
  2. 89C2051 + 4-digit 7-SEG led + 4-key switch c源代码-89C2051 4-digit 7- SEG led 4-c switch key source
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:7168
    • 提供者:李长武
  1. display4-7

    0下载:
  2. 7段译码器 本压缩文件含有2个程序,一个是共阴极的,一个是共阳极的,方便大家不同的试验箱使用-Decoder paragraph 7 of the compressed file contains two procedures, a total of cathode, a total of anode to facilitate the use of different chamber
  3. 所属分类:Windows编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:吴明星
  1. counter_clk

    0下载:
  2. 是vhdl语言,在fpga开发板上实现十进制技术(7段数码管显示),包括复位,清零,计数使能。-Is the VHDL language, in the FPGA development board realize decimal technology (7 digital tube display), including reset, cleared, counting enable.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1951744
    • 提供者:李秀艳
  1. 7SEG

    0下载:
  2. 使用汇编语言编写的PIC小程序,实现7段数码管显示功能-it is a program written by asembly language,used to drive the 7-seg display numbers.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:谈天
  1. SSC

    0下载:
  2. Implement the 7 segment diplay on spartan 3
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:4096
    • 提供者:spartanjoel
  1. 7-LED

    0下载:
  2. 如题目所示,将二进制码转换为7位LED显示-Such as the title indicates, will be converted into binary code 7 LED display
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:wxw_s_life
  1. 5_AVR_Projects

    0下载:
  2. Interfacing AVR to LED, Tact switch+7-segment, Matrix Keypad, HD44780 Character LCD and LED dot matrix. Compiler: CodeVisionAVR. Proteus simulation included.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:138240
    • 提供者:Rezax
  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:199680
    • 提供者:王广玉
  1. 7-SEG

    0下载:
  2. 7段数码管演示代码,单片机语言C51编写,已经调试通过-7 shows the digital control code, MCU C51 language preparation, have been debugging through
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-09
    • 文件大小:10240
    • 提供者:feiyang
  1. 7-seg

    0下载:
  2. 7 segmeng.c for a seven segment display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:lucas
  1. led-7-doan

    0下载:
  2. ebook about LED 7-SEG and the way to use
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. display-7-seg-(PIC)

    0下载:
  2. code in assembler of 7 segment display for aplication in a PIC 16f84x
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:freezer
  1. SPI-Interface-Big-7-Seg-LED

    0下载:
  2. SPI Interface BiG 7 Segment Display
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:68608
    • 提供者:Jovan
  1. 7-Seg

    0下载:
  2. 7 segmentos,segmentos, 16f819, CCS
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-09
    • 文件大小:13312
    • 提供者:Max0096
  1. Module LED 7 Seg used msp430

    0下载:
  2. Application Led 7 seg used IAR program language.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:30720
    • 提供者:Hoang
  1. Quet Led 7 thanh

    0下载:
  2. Display 4 led 7 seg common anot
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:58368
    • 提供者:Kpro
  1. TM1638_driver de display led 7 seg

    0下载:
  2. TM1638_driver de display led 7 seg
  3. 所属分类:其它

    • 发布日期:2022-11-13
    • 文件大小:224446
    • 提供者:Monstro
« 12 3 4 5 »

源码中国 www.ymcn.org