搜索资源列表

  1. verilog电子琴

    2下载:
  2. 用verilog编写的电子琴,用8个按键实现8个音调,并控制相应led灯闪烁,集成了按键消抖功能
  3. 所属分类:源码下载

    • 发布日期:2011-02-03
    • 文件大小:13198022
    • 提供者:ikedodo
  1. anjianxiaodou

    0下载:
  2. 基于verilog的按键消抖程序设计,包括整个工程文件-Based on the key consumer Buffeting verilog program design, including the entire project file
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:157696
    • 提供者:lvlv
  1. debounce

    0下载:
  2. 按键消抖程序,用Verilog硬件描述语言编写,实现了按键消抖动作-Buffeting eliminate key procedures, using Verilog hardware descr iption language, the realization of the keys for jitter elimination
  3. 所属分类:中间件编程

    • 发布日期:2024-05-26
    • 文件大小:121856
    • 提供者:liujiani
  1. key_denounce

    0下载:
  2. 按键消抖verilog源代码,包括实验说明书,清晰易懂. -this code is the verilog source code,which teach you how to filtrate the bump when the key being touched.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-26
    • 文件大小:339968
    • 提供者:颜爱良
  1. xiaodou2

    0下载:
  2. 基于脉冲边缘检测的按键消抖模块verilog-Key consumer shake module verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:2265088
    • 提供者:郭超
  1. key

    0下载:
  2. 用verilog写的按键消抖程序,经过验证的·····环境是ISE-Written with key debounce verilog program, proven environment is ISE
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:343040
    • 提供者:汉江之源
  1. keyboard

    0下载:
  2. 使用FPGA verilog语言编写的键盘按键消抖程序,三个按键,控制LED亮灭-Written using the FPGA verilog keyboard debounce procedure, three buttons, the control LED light off
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:23552
    • 提供者:徐俊
  1. KeyeLiminateDithering

    0下载:
  2. 按键消抖Verilog程序,希望对大家有帮助!-Key debounce Verilog program, we want to help!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:422912
    • 提供者:sun pei
  1. sw_led

    0下载:
  2. 利用verilog编写的一个按键控制LED灯的代码,包括按键消抖-Using verilog write a code key control LED lights, including key debounce
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:365568
    • 提供者:李才
  1. EDA

    0下载:
  2. verilog 练习的 基本程序 流水灯 ,PWM ,按键消抖,等基本程序 ,经过 一一验证-practice the basic procedures verilog water lights, PWM, key debounce, and other basic procedures, after only verify
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:18572288
    • 提供者:谷向前
  1. motor_PWM

    0下载:
  2. 刚写的verilog 程序,控制直流电机正反转,具有严格的按键消抖函数,采用脉冲边沿检测法,防止误触发!-Just write verilog program to control the DC motor reversing, with strict key debounce function, pulse edge detection method, to prevent false triggering! ! ! Beginner
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:303104
    • 提供者:谷向前
  1. Verilog

    0下载:
  2. 按键消抖控制LED程序.键值变化开始计时 10ms-LED key debounce control program. Keys change start time 10ms
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:107520
    • 提供者:邓宇
  1. verilog

    0下载:
  2. 运用Verilog语言,基于FPGA的key button等开关消抖,按键消抖电路设计。-The use of Verilog language, based on the FPGA key button, such as switching jitter, the key to eliminate jitter circuit design.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:1024
    • 提供者:闫浪涛
  1. 至简设计法--按键消抖

    0下载:
  2. 按键消抖 工程说明 在系统设计中,消除按键抖动的方法五花八门,无论是硬件电路和软件设计都十分成熟。在本项目中,我们将用Verilog语言给出具体实现过程,设计一个程序来检查键值,有效滤除按键抖动区间20 ms的毛刺脉冲。 案例补充说明 在本案例中,我们使用Verilog HDL语言对按键消抖进行了设计,在这个过程中,我们可以了解到不同触发器有不同的工作原理和约束条件,即便是简单的一个按键功能,也有不可忽视的抖动过滤程序,这些都是在以后
  3. 所属分类:其他小程序

  1. sw_debounce

    0下载:
  2. 脉冲边沿检测法的按键消抖程序,使用Verilog编写(Key edge dithering program with pulse edge detection method)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:4425728
    • 提供者:水白三千
  1. Single_pwm

    0下载:
  2. 这是一个生成pwm波的程序,使用按键改变占空比的大小,通过增加按键的消抖程序能够精确控制占空比的变化。(This is a program to generate pwm wave, use the button to change the size of the duty cycle, by increasing the key of the shaking program can accurately control the dut
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:1002496
    • 提供者:FPGAWY1
  1. 消抖模块源代码

    0下载:
  2. 对fpga中的按键,防摔等部分进行消除抖动(To eliminate the jitter of the key in the FPGA, the fall prevention and other parts)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:1024
    • 提供者:tttii
  1. Lesson09:BJ-EPM240学习板实验2——按键消抖实验

    0下载:
  2. Quartus的按键消抖设计实验视频讲解,讲解的很详细,对于新手来说还是蛮不错的(Quartus key to shake down the design of experimental video explanation)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:46035968
    • 提供者:YouKnowWho
  1. xiaodou

    0下载:
  2. 利用Verilog语言进行编写的V代码,实现的按键消抖功能(The V code written in Verilog language is used to realize the function of button dithering.)
  3. 所属分类:硬件设计

    • 发布日期:2024-05-26
    • 文件大小:486400
    • 提供者:somnus浅眠
  1. key_jitter_decade_counter_seg

    0下载:
  2. 采用对抖动时间的记录,实现按键消抖,并记录按键个数(We use the recording of the jitter time to achieve the key dithering and record the number of keys.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-26
    • 文件大小:64512
    • 提供者:小明与小明
« 12 3 »

源码中国 www.ymcn.org