搜索资源列表

  1. dianti

    0下载:
  2. 用VHDL 语言描述度八层电梯,其开发均在FPGA中-using VHDL descr iption was complicated lifts, the development is in FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:356626
    • 提供者:侯同
  1. 8_FLOOR_CR1

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(一)(单动)
  3. 所属分类:其它资源

  1. 8_FLOOR_CR2

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(2)(单动)
  3. 所属分类:其它资源

  1. 8_FLOOR_CR3

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(3)(单动)
  3. 所属分类:其它资源

  1. 8_FLOOR_CR4

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(4)(单动)
  3. 所属分类:其它资源

  1. lift

    0下载:
  2. 模拟某校九层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。九个楼层由下至上依次称为地下一层、第一层、第二层、……第八层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 乘客可随时地进出于任何层。对于每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6490
    • 提供者:赵志春
  1. dianti

    0下载:
  2. 模拟某校九层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。九个楼层由下至上依次称为地下一层、第一层、第二层、……第八层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 乘客可随时地进出于任何层。对于每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:3645
    • 提供者:赵志春
  1. elivator_control

    0下载:
  2. 电梯控制器 用于八层楼双电梯的协调调度 可进行扩展
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:544947
    • 提供者:王鹤
  1. elev

    0下载:
  2. Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:77109
    • 提供者:Fly
  1. dianti

    0下载:
  2. 模拟某校九层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。九个楼层由下至上依次称为地下一层、第一层、第二层、……第八层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 乘客可随时地进出于任何层。对于每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:3017
    • 提供者:啊啊
  1. LiftControl

    0下载:
  2. 一个简单的电梯控制系统,主要实现一个八层楼的电梯控制.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2157
    • 提供者:易青山
  1. 1

    0下载:
  2. 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信号,并按如下运行规则依次相应:运行过程
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:76864
    • 提供者:one song
  1. 第八章 教育资源管理系统(下)

    0下载:
  2. 1)动态加载中间(2)规范化中间层接口 (3)解释本地文件系统(4)基于数据库的数据提供(5)数据库设计(6)优化数据提供逻辑(7)程序框架结构图-1) dynamic load intermediate (2) standardization middle layer interface (3), explaining the local file system (4) database based on the data provi
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5824915
    • 提供者:大灰熊
  1. 第八章 教育资源管理系统(下)

    0下载:
  2. 1)动态加载中间(2)规范化中间层接口 (3)解释本地文件系统(4)基于数据库的数据提供(5)数据库设计(6)优化数据提供逻辑(7)程序框架结构图-1) dynamic load intermediate (2) standardization middle layer interface (3), explaining the local file system (4) database based on the data provi
  3. 所属分类:教育/学校应用

    • 发布日期:2024-06-10
    • 文件大小:5824512
    • 提供者:大灰熊
  1. chenbo广度

    0下载:
  2. 人工智能运用广度优先算法来解决八数码问题,由初始状态到目标状态按层搜索-breadth priority use of artificial intelligence algorithms to solve eight digital issue, the initial state to the target state by layer Search
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-06-10
    • 文件大小:11264
    • 提供者:春风秋雨
  1. dianti

    0下载:
  2. 用VHDL 语言描述度八层电梯,其开发均在FPGA中-using VHDL descr iption was complicated lifts, the development is in FPGA
  3. 所属分类:其他小程序

    • 发布日期:2024-06-10
    • 文件大小:356352
    • 提供者:侯同
  1. diantichengxu

    0下载:
  2. 一个四层的电梯程序 很好很强大 呵呵,希望对大家有帮助-A four-story elevator procedures Ha ha very good very strong, and they hope to have everyone help
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-10
    • 文件大小:2048
    • 提供者:王玉姣
  1. liftvhdl

    0下载:
  2. 四层电梯vhdl 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:9216
    • 提供者:林姗
  1. VHDL的八层电梯设计

    0下载:
  2. 这是一个基于VHDL的八层电梯的完整源代码
  3. 所属分类:VHDL编程

  1. elevator

    0下载:
  2. 八层电梯,有密码开关,警报开关,quartusⅡ综合,cycloneⅤ的板子(There are password switches, alarm switches, and eight layers of elevator display, Quartus II synthesis, cyclone V board.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:3072
    • 提供者:满树榆钱儿
« 12 3 4 5 6 »

源码中国 www.ymcn.org